Welcome![Sign In][Sign Up]
Location:
Search - led vhdl

Search list

[Other resourceLED点阵

Description: 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL description language. Rom which documents can be automatically generated using lpm_megcore.
Platform: | Size: 3887 | Author: 王卫 | Hits:

[Other resourceVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 42884 | Author: kerty | Hits:

[Other resource流水灯VHDL程序

Description: 流水灯的VHDL原程序,以4种模式LED显示.-wasted lights VHDL program, in the four-mode LED display.
Platform: | Size: 1004 | Author: 韦元龙 | Hits:

[Other resourcechengxu(vhdl)

Description: 这是用VHDL编写的FPGA与计算机进行串口通信的程序和一个LED程序-VHDL and FPGA prepared by the computer serial communication procedures and an LED procedures
Platform: | Size: 549372 | Author: 黄鹏飞 | Hits:

[OtherLED

Description: 用VHDL 语言描述度7段LED数码显示管,其开发均在FPGA中-using VHDL description of 7 degrees LED digital display tubes, which were developed in FPGA
Platform: | Size: 123327 | Author: 侯同 | Hits:

[Othervhdl

Description: 基于MAXPLUS II 的软件设计,这里面有几个小程序,用于VHDL的GDF设计,含有LED数码管的显示驱动程序,还有3选一,十选一程序。-II FPGA-based design software, there are several small procedures, GDF for VHDL design with a digital LED display driver of the procedures, there is a three elections. 10 election procedure.
Platform: | Size: 2442 | Author: 梁兵 | Hits:

[Other resourceLED

Description: 基于alteraCPLD芯片的VHDL点阵滚动显示源代码
Platform: | Size: 109060 | Author: 林晋阳 | Hits:

[Other resourceled

Description: vhdl实现“PLD电子技术”(文字显示)
Platform: | Size: 755 | Author: 阿乔 | Hits:

[Other resourceLED

Description: 以两种结构编写的VHDL驱动LED 已通过调试
Platform: | Size: 408529 | Author: hbsun | Hits:

[Other resourceLED

Description: 一个LED显示动态扫描方式的vhdl实现
Platform: | Size: 5146 | Author: xjz | Hits:

[Other resourceVHDL

Description: LCD控制VHDL程序与仿真和LED控制VHDL程序与仿真,都已调试过了
Platform: | Size: 163442 | Author: gillyamylee | Hits:

[Other resourceled

Description: 8位数码扫描显示电路设计(VHDL)通过编译
Platform: | Size: 1554 | Author: hh | Hits:

[Other resourcee_lift.vhdl

Description: 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led显示电梯所在楼层;led_d、led-c_u和led_c_d显示用户的请求。
Platform: | Size: 162905 | Author: 李立 | Hits:

[Other resourceled

Description: FPGA和VHDL的全过程和源码,有助你对FPGA和VHDL的认识和学习!
Platform: | Size: 423660 | Author: 燕山 | Hits:

[Other resourceVHDL

Description: vhdl的很多例子,包括LED、lcd、按键、数码管等等,非常的实用。
Platform: | Size: 855277 | Author: 盐城 | Hits:

[VHDL-FPGA-VerilogLED控制VHDL程序与仿真

Description: FPGA驱动LED静态显示 FPGA驱动LED动态显示(4位)
Platform: | Size: 5151 | Author: manyouwenhua | Hits:

[VHDL-FPGA-Verilogled

Description: LED灯显示,利用VHDL语言实现数码管中的灯的显示功能(The LED lamp shows that the display function of the lamp in the digital tube is realized by the VHDL language)
Platform: | Size: 1932288 | Author: 海&角 | Hits:

[OtherVHDL控制LED程序与仿真

Description: VHDL控制LED程序与仿真加上详细说明与解释(VHDL controls the LED program and simulation with detailed explanation and explanation)
Platform: | Size: 5120 | Author: hq1104 | Hits:

[Other8.2 LED控制VHDL程序与仿真 2004.8修改

Description: 8.2 LED控制VHDL程序与仿真 ,FPGA驱动LED静态显示,功能:译码输出模块,LED为共阳接法(8.2 LED control VHDL program and simulation)
Platform: | Size: 5120 | Author: 少年高飞 | Hits:

[VHDL-FPGA-VerilogSOPC LED实验

Description: 通过Quartus II、SOPC Builder、Nios II IDE三种工 具的配合使用 用软件控制led,VHDL程序通过描述硬件电路控制led的依次亮灭(Through the Quartus II, SOPC Builder, Nios II IDE three workers With the use Use software to control led, VHDL program by describing the hardware circuit control led light out in turn)
Platform: | Size: 4795392 | Author: UGG | Hits:
« 1 2 3 4 56 7 8 9 10 ... 28 »

CodeBus www.codebus.net